【“ZiDongHua 自动化网”科技观察: EDA/IP与IC设计论坛】

随着集成电路产业的加速发展,IC设计成为其中最热门的赛道之一。不仅是社会数字化转型推动通用计算市场快速发展;计算机视觉、AI等诸多细分领域也显得热闹异常;更多非传统集成电路行业的玩家也开始参与芯片设计。EDA/IP与IC设计论坛,旨在为参与IC设计环节的诸多企业——包括提供EDA工具、IP解决方案等组成部分的市场参与者——提供沟通与交流的平台,探讨IC设计市场与技术趋势。

 

 

2022国际集成电路展览会暨研讨会 EDA/IP与IC设计论坛成功举办

 

 

8月16日,由电子工程领域全球领先的技术媒体机构AspenCore主办的2022国际集成电路展览会暨研讨会 (IIC)同期的EDA/IP与IC设计论坛上,邀请到了IC设计环节的诸多企业,包括提供EDA工具、IP解决方案等组成部分的市场参与者,深度探讨了IC设计市场与技术趋势。

 

在迈向先进制程的进程中,硬件功能的扩展不断地受到挑战,使得超大规模计算中心和人工智能(AI)设计对运算效能和数据传输的要求不断地提高。先进系统单晶片(SoC)在尺寸上已经到了光罩的极限,因此需要找到创新的解决方案来延续摩尔定律,并且降低功耗、提高效能。在同一封装中将晶片做 3D 立体堆叠,和使用硅中介层的多小晶片系统 2.5D 封装,已经成为新的解决方案。当然,这两种方式也面临着各自的挑战。

 

                    论坛活动嘉宾

Cadence公司数字系统设计部门产品验证群总监,半导体物理和器件专家李玉童以“飞越摩尔 新维度创造无限可能”为主题发表演讲

李玉童在演讲中介绍,Integrity 3D-IC 将以日和周为单位的手动绕线加速到秒级和分钟级,轻松满足性能、信号电源完整性与设计迭代的多重要求,为高带宽高数据吞吐量的机器学习、超算、高性能移动设备、端计算等应用提供最佳设计支持。

 

芯和半导体技术支持总监,博士,教授级高工苏周祥先生对“3DIC先进封装的发展趋势和对EDA的挑战”进行了分享

异构集成的2.5D/3D芯片先进封装技术是后摩尔时代的关键技术,在5G、数据中心、高性能计算和AI等领域发挥了重要作用。2.5D/3D芯片先进封装技术对EDA,尤其是EM(电磁)仿真技术求解上带来了巨大的挑战。据苏周祥介绍,芯和半导体的“3DIC先进封装设计分析全流程”EDA平台,是业界首个用于3DIC多芯片系统设计分析的统一平台,为用户构建了一个完全集成、性能卓著且易于使用的环境,提供了从开发、设计、验证、信号完整性仿真、电源完整性仿真到最终签核的3DIC全流程解决方案,全面支持2.5D Interposer, 3DIC和Chiplet设计。

 

灿芯半导体IP项目总监饶青女士分享“灿芯半导体打造国产先进工艺的一站式IP与SoC设计服务平台”

灿芯半导体基于中芯国际工艺研发了公司自主品牌的“YOU”系列IP和YouSiP(Silicon-Platform)解决方案,经过完整的流片测试验证,可广泛应用于5G、AI、高性能计算、云端及边缘计算、网络、物联网、工业互联网及消费类电子等领域。其中YouSiP方案可以为系统公司、无厂半导体公司提供原型设计参考,从而快速赢得市场。

灿芯作为半导体设计服务领域的领先企业,在当前中国集成电路产业迅猛发展的重大机遇期,芯片设计服务企业是连接集成电路产业链上下游的重要纽带,对于实现芯片国产化、强化产业链生态具有重要作用。

 

上海国微思尔芯技术股份有限公司产品经理梁琪女士,分享“结合系统建模、架构设计与原型验证,快速且准确定义 SoC 规格”

一块芯片从设计到上市,其中的环节一环扣一环,面临的挑战也是一关接一关。梁琪女士指出,芯片流片失败的主要原因中,逻辑或功能的错误占据了近50%的比例,其中包含了设计失误、规格变化、规格不正确或不完整、内部服用模块活IP有缺陷以及外部IP或者测试平台有缺陷等原因,因此芯片验证领域的突破对国产IC研发至关重要。

针对芯片设计师的快速建模难题,国微思尔芯带来了 1+1>2 的高效解决方案:

借助芯神匠快速虚拟开发技术,改变传统建模方法来加速概念工程,将依附经验积累的规约转变为实际可展示、可运行、可仿真的模型架构。保证了产品从需求分析到设计实现的连贯性,有效缩减总体项目开发时间,并为后续系统方案的扩展升级或新方案设计提供科学的、可重用的参考依据。

而芯神瞳则缩短设计映射到FPGA的时间以最灵活与可扩展的架构体系,以满足不同设计容量、应用程序和设计阶段的需求。透过异构验证方法学混合仿真来覆盖多种验证场景,缩短芯片验证周期,加速客服软件开发。

 

杭州行芯科技有限公司董事长兼总经理贺青先生,分享“行芯Signoff工具链加速先进工艺设计收敛”

贺青博士指出,后摩尔时代芯片技术路径演进朝多维度、差异化、多元化方向发展,三维复杂结构带来工艺建模挑战,芯片设计从2D进入3D世界,晶体管微缩带来大量的复杂工艺效应挑战,三维集成带来多种工艺混合、电/电磁/热/力等耦合、多维度海量数据交互的挑战,电路规模持续增长提高了设计难度,EDA工具面临挑战,此外,功耗、性能以及成本的极致要求都面临挑战。行芯一站式Signoff平台的三大创新点,通过底层架构与算法创新,重构先进工艺建模流程,加速芯片设计签核收敛。贺青博士介绍,行芯全芯片Signoff精度参数提取工具GloryEX已成功通过Samsung Foundry先进工艺认证,并成为Samsung全球19家EDA合作伙伴中最年轻的企业。

 

深圳鸿芯微纳技术有限公司研发资深总监邵云先生,以“芯之所至,皆有鸿芯 — 鸿芯微纳领跑国产数字后端EDA工具解决方案”为主题发表演讲

据邵云介绍,鸿芯微纳依托国内完整的产业生态,组建专业的研发和支持团队,建设具有竞争力的技术平台,致力于完成数字EDA关键节点的技术部署,打造完整的国产数字EDA平台,实现产业链关键节点的技术突破。鸿芯微2019年发布国内第一款布局布线工具Aguda,其用于芯片设计中的布局布线,也是目前国内唯一能够提供完备的数字集成电路物理设计解决方案的国产 EDA 工具,产品涵盖从 Netlist-In 到 GDS-Out 完整的电子设计自动化流程,从布局、预布线、布局优化、时钟树综合、时钟树优化、详细布线、顶层集成的全部技术。

亚马逊云科技资深解决方案架构师李迎峰先生,分享“云创新智,加速半导体设计创新实践分享”

在芯片设计的不同阶段,算力需求也有极大差异,在补充服务器资源前,任务只能面临“无尽的等待”。此外,半导体供应链还面临短缺、增加的多方ASIC/SoC开发、平衡功率和性能以及面积与成本、工程师短缺等方面的挑战,李迎峰表示:部署在亚马逊科技上的EDA正在帮助解决这些挑战。

EDA上云可显著降低设计流程的耗时,提高开发效率。EDA上云后,能够将部分或者全部 EDA 工具转移至云上,设计公司各取所需,灵活获取计算资源,达到规模经济性,借此亦可提升开发效率,减少芯片设计的时间成本。

 

史密斯英特康半导体测试事业部资深应用工程经理徐益先生,分享“DaVinci 测试插座精准应对56/112G SerDes PAM4 测试挑战的解决方案”

各种连接设备和数据密集型的应用持续推动对高性能和适应性强的计算解决方案的需求的增长。手机、平板电脑和汽车信息娱乐系统等移动设备拥有有史以来最复杂的芯片级系统(SoC),而这些芯片级系统面临着将多个处理部件如CPU、GPU、AI引擎、摄像头处理器、内存和5G调制解调器合组合到一个芯片中以节省空间,成本和功耗的挑战。在尽可能小的芯片尺寸上增加更多功能的需求,导致集成电路的间距减少到500微米以下。同时,将系统关键部件集成在一块芯片上,芯片性能的提高会引起引脚到引脚的噪音,或在测试中被称为“串扰”。尽管测试工程师在封装设计方面做了很大的努力,但芯片尺寸的缩小仍将不可避免的造成高速信号容易受到串扰的影响,导致出现虚假测试故障。

史密斯英特康旨在利用DaVinci 技术突破这一测试瓶颈。DaVinci Micro测试插座充分利用集成电路(IC)应用的DaVinci同轴技术,做到350µm间距并提供理想的引脚到引脚隔离,减少测试过程中的串扰的影响,并大幅提高了芯片性能测试的准确性。它的创新设计保护了小直径的信号探针,确保产品可以部署并经受住严苛测试环境的考验。

 

StarFive资深销售总监周杰先生以“开启国产RISC-V产品及生态在高端应用的时代”为主题发表演讲

近年来,凭借开源开放的特点,RISC-V异军突起吸引了全球众多开发者参与其中,其软件生态演进十分迅速,与X86、ARM形成了三足鼎立之势。周杰对国内外高性能RISC-V IP及芯片的发展现状进行了分析,他认为高性能CPU IP的三个基本要素应包括SPECint2k6>7/Ghz;多发射、乱序执行;10级流水以上的特性。

根据软件开发和演进的规律,赛昉科技将软件生态体系建设分为了四个层面,工具链、操作系统与组件、开发框架及支撑环境和应用软件,并与合作伙伴一起,逐层递进推动RISC-V软件生态的发展。周杰介绍赛昉科技提供从IP、芯片到硬件芯片平台的全方位解决方案,包括昉·天枢、昉·惊鸿、昉·星光三大系列。

 

奎芯科技市场及战略副总裁--唐睿先生,以“数据中心和车用芯片双轮驱动IP产业腾飞”为主题发表演讲

唐睿认为芯片产业正在开启第三次产业变革:

一是芯片设计企业明显增多,系统应用厂商、互联网公司等也在进入芯片设计领域所需的IP数明显增多;

二是芯片应用的需求多元化,异构多die的计算架构更符合时代发展趋势;

三是产品迭代速度也远超以前,硬件创新速度需跟上软件发展才能提供必要的算力加速。

做为互联IP公司,奎芯科技自然成为Chiplet领域重要的玩家和不可或缺的一环。唐睿表示奎芯的不少IP都经过了客户的充分验证,做产品风险较小。所以互联IP公司成为Chiplet 标准件的供应商乃至于一站式Chiplet解决方案平台是水到渠成的事。

 

上海阿卡思微电子技术有限公司技术与市场副总裁王锐先生,为我们带来议题:形式化方法在数字芯片EDA中的应用

不同于其他EDA工具公司,阿卡思微电子专门针对前端设计环节推出了形式化验证EDA工具——AveMC和逻辑等价性检查EDA工具——AveCEC。形式化验证方法正在越来越多地取代传统仿真验证。其使用方法是用户根据设计要求提供验证所需的属性和约束,用数学归纳和推理的方法回答这些属性是否正确。如果不正确,验证工具会自动生成可用于仿真的激励链,以便于查错。形式验证的数学严密性使它成为对安全可靠性要求极高的芯片设计的必备选择,当然也意味着验证工具的性能要十分可靠。

 

本场EDA/IP与IC设计论坛上,十二位演讲嘉宾从EDA工具、3DIC先进封装、IP解决方案、原型验证、半导体测试解决方案等不同角度,阐述了各自企业及产品的技术创新以及技术支持,基本覆盖到了芯片设计的各个流程环节。