自动化网

  •  芯行纪即将亮相IDAS设计自动化产业峰会
    芯行纪即将亮相IDAS设计自动化产业峰会

     在集成电路设计过程中,片上系统(SoC)的形成需要经历从代码到网表、再到版图的一系列复杂步骤,其间种种精细操作环环相扣,强烈依附于EDA工具的有力支持。

    2023-08-27 19:12:37

  • 云光讲堂 | 行业专家带您了解硅光芯片设计最新进展
    云光讲堂 | 行业专家带您了解硅光芯片设计最新进展

    硅光芯片是光子芯片中最常见的一种,这种芯片利用的是半导体发光技术。硅光子技术结合了集成电路技术的超大规模、超高精度制造的特性和光子技术超高速率、超低功耗的优势,是应对摩尔定律失效的颠覆性技术,这种组合得力于半导体晶圆制造的可扩展性,因而能够降低成本。

    2023-08-27 18:59:18

  • 助力开芯院“香山”项目!思尔芯亮相RISC-V中国峰会
    助力开芯院“香山”项目!思尔芯亮相RISC-V中国峰会

    在2023年8月23日至25日的第三届RISC-V中国峰会上,思尔芯(S2C)展示了关于RISC-V微架构分析,系统整合与规范符合性测试,以及提供软件性能评估等多种方案。公司还深入探讨了与北京开源芯片研究院(简称“开芯院”)在“香山”项目中的深度合作经验。

    2023-08-25 14:20:06

  • 芯华章GalaxSim Turbo荣获“中国芯——最佳EDA工具奖”
    芯华章GalaxSim Turbo荣获“中国芯——最佳EDA工具奖”

     8月18日,电子行业知名学术期刊《电子产品世界》发布“影响中国电子产业30年时代之光”评选系列荣誉。芯华章系统级高性能软件仿真工具GalaxSim Turbo,凭借出色的技术创新性,以多线程、并行仿真技术,将逻辑仿真器的应用场景拓展到了系统级层面,获评“中国芯——最佳EDA工具奖”

    2023-08-25 00:36:21

  • 芯华章荣膺中国半导体与集成电路产业最佳投资案例
    芯华章荣膺中国半导体与集成电路产业最佳投资案例

    近日,投中网发布2022年度中国半导体与集成电路产业最佳投资案例。芯华章凭借前瞻的技术创新、完善的产品布局以及坚实的落地服务能力,持续收获产业和资本认可,经过层层筛选和评估成功入选,充分彰显了芯华章在中国半导体和集成电路领域的卓越市场表现和产业影响力。

    2023-08-18 19:38:45

  • 鸿芯微纳王宇成:谈EDA点工具的深度融合| IDAS设计自动化产业峰会演讲预告
    鸿芯微纳王宇成:谈EDA点工具的深度融合| IDAS设计自动化产业峰会演讲预告

    数字电路设计各个流程当中,以门级网表的产生为界,分为数字前端和数字后端。数字后端设计流程负责将前端设计生成的门级网表实现为可生产的物理版图,通过自动布线在版图上为单元、宏模块等进行合理布线;然后进行验收,对设计数据进行复检,保障数据达到交付标准,消除设计上

    2023-08-18 18:32:01

  • 芯华章入选《中国企业家》2023年度“新锐100”企业
    芯华章入选《中国企业家》2023年度“新锐100”企业

    8月13日,《中国企业家》杂志正式推出2023年度“新锐100”企业名单。作为国内领先的系统级验证EDA企业,芯华章成功入选本次榜单,被评选委员会认为是“有望快速成长并可能引领行业未来的公司”。

    2023-08-15 14:24:03

  • 深势科技电池设计自动化平台Piloteye在2023科学智能峰会发布 | 助力电池研发率先进入AI for Science时代
    深势科技电池设计自动化平台Piloteye在2023科学智能峰会发布 | 助力电池研发率先进入AI for Science时代

    通过AI和多尺度模拟算法突破、算法工程化的实践和与行业需求结合的产品开发能力,可以更快速、精准地完成电池的设计和研发,提升电池研发的创新效能。

    2023-08-14 09:38:20

  • 芯思维获TÜV莱茵国内第二张EDA工具功能安全产品认证
    芯思维获TÜV莱茵国内第二张EDA工具功能安全产品认证

    中国北京,2023年8月7日—上海芯思维信息科技有限公司(简称“芯思维”)宣布于近期获得德国莱茵T?V大中华区(简称“T?V莱茵”)针对其EDA逻辑仿真系列产品XSIM,颁发的国内第二张EDA工具功能安全ISO26262 TCL3和IEC61508 T2产品认证证书。ISO26262是全球公认的汽车功能安全标准,覆盖汽车电子半

    2023-08-11 09:25:18

  • 芯华章: EDA硬件仿真系统如何赋能汽车SoC解决方案?
    芯华章: EDA硬件仿真系统如何赋能汽车SoC解决方案?

    在硬件仿真系列的上一篇《如何定义当代Emulator?》中,我们和大家系统分享了新一代硬件仿真器的定位、功能、优势及应用场景等内容。本篇,我们将聚焦在智能驾驶这一具体领域,深入结合芯华章桦敏HuaEmu E1,来展示EDA硬件仿真系统如何赋能汽车SoC解决方案。

    2023-08-09 16:59:21

  • Siemens EDA Forum 5大技术专题完整议程公布
    Siemens EDA Forum 5大技术专题完整议程公布

    Siemens EDA Forum 5大技术专题完整议程公布门子电子科技有限公司(下简称西门子 EDA)诚挚邀请您参加 2023年Siemens EDA Forum,了解西门子 EDA 的尖端技术和发展趋势,助您在日益激烈的创新竞争中保持优势。

    2023-08-08 18:32:52

  • CadenceLIVE China 2023丨​数字设计和签核专题议程揭晓
    CadenceLIVE China 2023丨​数字设计和签核专题议程揭晓

    作为目前中国 EDA 行业覆盖技术领域全面、规模巨大的先进技术交流平台,CadenceLIVE China 2023 中国用户大会将于 8 月 29 日在上海浦东嘉里大酒店盛大举行,现场参会注册现已开放,诚邀您前来参会。

    2023-08-07 17:03:46

  • CadenceLIVE China 2023丨汽车电子和 IP 解决方案专题议程揭晓
    CadenceLIVE China 2023丨汽车电子和 IP 解决方案专题议程揭晓

    作为目前中国 EDA 行业覆盖技术领域全面、规模巨大的先进技术交流平台,CadenceLIVE China 2023 中国用户大会将于 8 月 29 日在上海浦东嘉里大酒店盛大举行,现场参会注册现已开放,诚邀您前来参会。

    2023-08-04 15:41:04

  • 助力全球5G技术突破!新思科技ASIP Designer加速Viettel首款5G SoC面世
    助力全球5G技术突破!新思科技ASIP Designer加速Viettel首款5G SoC面世

    越南大型移动网络运营商Viettel在5G系统、设备和SoC领域雄心勃勃。Viettel的研发部门Viettel High Tech开发了完整的5G网络架构系统,包含设备、无线接入网络(RAN)、传输网络和核心网络。这也让越南一跃成为少数几个能够生产5G设备的国家之一。

    2023-08-02 17:07:27

  • CadenceLIVE China 2023丨PCB、封装设计及系统级仿真专题 2 议程揭晓
    CadenceLIVE China 2023丨PCB、封装设计及系统级仿真专题 2 议程揭晓

    作为目前中国 EDA 行业覆盖技术领域全面、规模巨大的先进技术交流平台,CadenceLIVE China 2023 中国用户大会将于 8 月 29 日在上海浦东嘉里大酒店盛大举行,现场参会注册现已开放,诚邀您前来参会。

    2023-08-01 18:55:58

  • 硬件仿真系列 | 如何定义当代Emulator? 仿真验证中的基础性EDA工具
    硬件仿真系列 | 如何定义当代Emulator? 仿真验证中的基础性EDA工具

     硬件仿真器(Emulator)从诞生至今,已经有接近40年的历史,经历了一个不断成熟的过程,它随着集成电路产业的发展仍在不断演进。

    2023-08-01 18:53:19

  •  第六届中国研究生创“芯”大赛集成电路EDA产业高峰论坛嘉宾及主题简介
    第六届中国研究生创“芯”大赛集成电路EDA产业高峰论坛嘉宾及主题简介

    “中国光谷·华为杯”第六届中国研究生创“芯”大赛是由教育部学位管理与研究生教育司指导,中国学位与研究生教育学会、中国科协青少年科技中心主办的面向全国硕博士研究生的集成电路设计相关的顶级赛事。

    2023-07-31 15:54:23

  • Cadence 宣布收购 Rambus PHY IP 资产
    Cadence 宣布收购 Rambus PHY IP 资产

    中国上海,2023 年 7 月 28 日——楷登电子(美国 Cadence 公司,NASDAQ:CDNS)近日宣布,双方已就 Cadence 收购 Rambus SerDes 和存储器接口 PHY IP 业务达成最终协议,Rambus 是首屈一指的芯片和硅 IP 提供商,致力于提高数据速率和安全性。

    2023-07-28 19:14:42

  • CadenceLIVE China 2023丨模拟定制设计专题议程揭晓
    CadenceLIVE China 2023丨模拟定制设计专题议程揭晓

    Finfet 工艺的复杂性对版图设计者带来更大的挑战,本文阐述使用 Virtuoso Device-level APR 流程进行 Finfet 工艺定制版图自动化的设计,快速得到一个满足 coloring 及 DRC 规则的定制版图。

    2023-07-28 19:12:20

  • 新思科技面向Intel 16制程工艺推出经认证EDA流程和高质量IP
    新思科技面向Intel 16制程工艺推出经认证EDA流程和高质量IP

    新思科技EDA数字和定制设计流程及半导体IP可提高芯片的功耗、性能和面积,同时将Intel 16制程工艺的集成风险降至最低。

    2023-07-28 15:27:18